home *** CD-ROM | disk | FTP | other *** search
/ Chip 2004 December / 2004-12 CHIP.iso / Dom i biuro / Crimson Editor 3.70 / cedt370r.exe / spec / vhdl.key < prev    next >
INI File  |  2002-05-24  |  5KB  |  99 lines

  1. [-COMMENT-:GLOBAL]
  2. # VHDL-93 LANGUAGE KEYWORD FILE FOR CRIMSON EDITOR
  3. # Complete rewrite by Robert Ingham, 2002-04-09 et seq.
  4. # 2002-04-17: 'out' 'inout' only occur inside port_interface_lists;
  5.  
  6. [KEYWORDS0:GLOBAL]
  7. # reserved words, except operators
  8. access after alias all architecture array assert attribute
  9. begin block body buffer bus case component configuration constant
  10. disconnect downto else elsif end entity exit
  11. file for function generate generic group guarded
  12. if impure in inertial inout is
  13. label library linkage literal loop
  14. map new next null of on open others out
  15. package port postponed procedure process pure
  16. range record register reject report return
  17. select severity shared signal subtype
  18. then to transport type
  19. unaffected units until use
  20. variable wait when while with
  21.  
  22. [KEYWORDS1:GLOBAL]
  23. # reserved words: operators
  24. abs and mod nand nor not or rem rol ror sla sll sra srl xnor xor
  25.  
  26. [KEYWORDS2:RANGE1]
  27.  
  28. [KEYWORDS3:GLOBAL]
  29. # standard (predefined) attributes
  30. left right low high ascending image value pos val succ pred leftof rightof base range reverse_range length
  31. delayed stable quiet transaction event active last_event last_active last_value driving driving_value
  32. simple_name path_name instance_name
  33.  
  34. [KEYWORDS4:GLOBAL]
  35. # names of standard libraries and packages
  36. std ieee work
  37. standard textio
  38. std_logic_1164 std_logic_arith std_logic_misc std_logic_signed std_logic_textio std_logic_unsigned numeric_bit numeric_std math_complex math_real vital_primitives vital_timing
  39.  
  40. [KEYWORDS5:GLOBAL]
  41. # function and procedure names defined in standard packages
  42. now
  43. readline read writeline write endfile
  44. resolved to_bit to_bitvector to_stdulogic to_stdlogicvector to_stdulogicvector to_x01 to_x01z to_UX01 rising_edge falling_edge is_x
  45. shift_left shift_right rotate_left rotate_right resize to_integer to_unsigned to_signed std_match to_01
  46.  
  47. [KEYWORDS6:GLOBAL]
  48. # types and subtypes defined in standard packages
  49. boolean bit character severity_level integer real time delay_length natural positive string bit_vector file_open_kind file_open_status
  50. line text side width
  51. std_ulogic std_ulogic_vector std_logic std_logic_vector X01 X01Z UX01 UX01Z
  52. unsigned signed
  53.  
  54. [KEYWORDS7:GLOBAL]
  55. # enumeration type values defined in standard packages
  56. false true note warning error failure fs ps ns us ms sec min hr read_mode write_mode append_mode open_ok status_error name_error mode_error
  57. # next line are (incomplete) values for CHARACTER type
  58. nul soh stx etx eot enq ack bel bs ht lf vt ff cr so si dle dc1 dc2 dc3 dc4 nak syn etb can em sub esc fsp gsp rsp usp
  59. # right left
  60. # PROBLEM: 'left' also an attribute
  61.  
  62. [KEYWORDS8:GLOBAL]
  63. # LPM library, package, component (= module) names
  64. LPM LPM_COMPONENTS
  65. LPM_CONSTANT LPM_INV LPM_AND LPM_OR LPM_XOR LPM_BUSTRI LPM_MUX LPM_DECODE LPM_CLSHIFT LPM_ADD_SUB LPM_COMPARE LPM_MULT LPM_DIVIDE LPM_ABS LPM_COUNTER LPM_LATCH LPM_FF LPM_SHIFTREG LPM_RAM_DQ LPM_RAM_DP LPM_RAM_IO LPM_ROM LPM_FIFO LPM_FIFO_DC
  66. LPM_TTABLE LPM_FSM LPM_INPAD LPM_OUTPAD LPM_BIPAD
  67. # generics for LPM
  68. LPM_WIDTH LPM_CVALUE LPM_STRENGTH LPM_SIZE LPM_WIDTHS LPM_PIPELINE LPM_DECODES LPM_WIDTHDIST LPM_SHIFTTYPE
  69. LPM_DIRECTION LPM_REPRESENTATION LPM_WIDTHA LPM_WIDTHB LPM_WIDTHS LPM_WIDTHP LPM_WIDTHN LPM_WIDTHD
  70. LPM_NREPRESENTATION LPM_DREPRESENTATION LPM_MODULUS LPM_DIRECTION LPM_AVALUE LPM_SVALUE LPM_PVALUE LPM_FFTYPE
  71. LPM_WIDTHAD LPM_NUMWORDS LPM_INDATA LPM_OUTDATA LPM_ADDRESS_CONTROL LPM_RDADDRESS_CONTROL LPM_WRADDRESS_CONTROL
  72. LPM_FILE LPM_SHOWAHEAD LPM_WIDTHU LPM_WIDTHIN LPM_WIDTHOUT LPM_TRUTHTYPE LPM_TYPE LPM_HINT
  73.  
  74. [KEYWORDS9:GLOBAL]
  75. # Verilog keywords - for maximum portability, these are best avoided
  76. # also includes some words that are also VHDL keywords, but doesn't matter in this context
  77. always and assign attribute
  78. begin bufif0 bufif1
  79. case casex casez cmos
  80. deassign default defparam disable
  81. edge else end endattribute endcase endfunction endmodule endprimitive endspecify endtable endtask event
  82. for force forever fork function
  83. highz0 highz1
  84. if ifnone initial inout input integer
  85. join
  86. large
  87. macromodule medium module
  88. nand negedge nmos nor not notif0 notif1
  89. or output
  90. parameter pmos posedge primitive pull0 pull1 pulldown pullup
  91. real realtime reg release remos repeat rnmos rpmos rtran rtranif0 rtranif1
  92. scalared signed small specify specparam strength strong0 strong1 supply0 supply1
  93. table task time tran tranif0 tranif1 tri tri0 tri1 triand trior trireg
  94. unsigned
  95. vectored
  96. wait wand weak0 weak1 while wire wor
  97. xnor xor
  98.  
  99.